CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - analog to digital converter

搜索资源列表

  1. adc-test

    0下载:
  2. An analog-to-digital converter (abbreviated ADC, A/D or A to D) is a device which converts a continuous quantity to a discrete time digital representation. An ADC may also provide an isolated measurement. The reverse operation is performed by a digit
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:91732
    • 提供者:elaine
  1. 67787092CS5531

    0下载:
  2. 在茶叶、药品、味精、白糖等颗粒状产品的包装现场, 需要精确、操作简便的称重装置和快速、可靠的给料机构。 可利用单片机控制技术设计自动称重系统,实现物料的实 时称霞与定量输送,从而克服传统机械称莺设备精度低、 操作复杂、需人工送料等缺点,对提高产品整体的包装效 率起到关键性作用。本文介绍了基于Crystal公司的高精 度24位串行A/D转换器CS5532的自动称重系统设计。 配合电磁振动器构成的振动传送机构,以及点阵型液晶显 示模块,可达到简便易用、自动定量的目的。
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2193
    • 提供者:lyj
  1. ADC-and-DAC

    0下载:
  2. “ADC”Analog-to-Digital Converter的缩写,指模/数转换器或者模拟/数字转换器。真实世界的模拟信号,例如温度、压力、声音或者图像等,需要转换成更容易储存、处理和发射的数字形式。模/数转换器可以实现这个功能。-" ADC" Analog-to-Digital Converter abbreviation, fingerprints/digital converter, or analog/digital converter. Real-world an
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-10
    • 文件大小:1494765
    • 提供者:吴钟海
  1. report

    0下载:
  2. Digital Thermometer Sensor: the IC LM35 has been used as the temperature sensor, which produces an analog output. This analog output is converted to digital data by passing it through the 0804 Analog to Digital Converter. The 89S52 Microprocessor is
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:1157224
    • 提供者:Atif
  1. TMS320F28xADCReference-

    0下载:
  2. 手把手教你学dsp-基于tms320x281x中关于TMS320F28x Analog to Digital Converter (ADC) Reference Guide介绍-Taught you learn dsp-based tms320x281x on TMS320F28x Analog to Digital Converter (ADC) Reference Guide describes
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:147265
    • 提供者:dumeiyu
  1. New-folder

    0下载:
  2. VHDL codes for analog to digital converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:534
    • 提供者:Ashwin
  1. AD

    0下载:
  2. 51单片机的AD模数转换程序,程序的算法能够有比较快的转换速率,达到比较快的速度-51 single-chip analog to digital converter AD, the program' s algorithm can convert a relatively fast rate, to achieve faster speeds
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:131089
    • 提供者:jieshao
  1. ohm-meter

    0下载:
  2. bascom-avr:AVR欧姆表项目: 这是一个基于AVR的ADC(模数转换器)欧姆表项目。这个项目有六个范围从0.1到10欧姆 - 10至100欧姆 - 1001K欧姆 - 1K到10K欧姆 - 10K到100K和100K到2M欧姆。-AVR Ohm meter Project: This is a Ohm meter project based on AVR ADC (Analog to Digital Converter). this project have six ra
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:164429
    • 提供者:lupo
  1. fet140_ta_24

    0下载:
  2. msp430x14x模数转换,用来控制msp430的LED灯闪烁-msp430x14x analog to digital converter, to control the LED light flashes msp430
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:945
    • 提供者:zhou
  1. 0804

    0下载:
  2. adc0804模数转换,控制模拟信号的输入输出-adc0804 analog to digital converter, analog input and output control
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4462
    • 提供者:ssf
  1. Ch4--ADC

    0下载:
  2. analog to digital converter
  3. 所属分类:DSP program

    • 发布日期:2017-04-17
    • 文件大小:107799
    • 提供者:aala
  1. the-numerical-control-dc-power

    1下载:
  2. 本文主要论述了一种基于51单片机为核心控制器的数控直流电源的设计原理和实现方法。该电源具有电压可预置、可步进调整、输出的电压信号和电流信号可同时显示功能。文章介绍了系统的总体设计方案,其主要由微控制器模块、稳压控制模块、电压/电流采样模块、显示模块、键盘模块、电源模块五部分构成。-The method of this paper based on the 51 microcontroller core of the numerical controller DC power supply des
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-27
    • 文件大小:291329
    • 提供者:任林
  1. ADC-accuracy

    1下载:
  2. Atmel的AVR单片机提供了10位精度的模拟到数字转换器。在大多数情况10位精度已经足够了,但是某些情况下需要更高的精度。特殊的信号处理技术可以用来提高测量的精度。使用一种称为“过采样和抽取”的方法可以得到较高的精度,不需要使用外部的ADC。这个应用笔记解释了这个方法,以及它需要满足的条件。-Atmel AVR MCU supply 10 bit accuracy analog to digital converter.in gernerl,10 bit accuracy is enough,
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:119123
    • 提供者:刘璐
  1. adc

    0下载:
  2. adc analog to digital converter
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:257540
    • 提供者:fadi
  1. A2DNEW

    0下载:
  2. Analog to digital converter
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-16
    • 文件大小:96150
    • 提供者:Farhan
  1. dianji

    0下载:
  2. A/D,D/A转换的汇编实现,电机转换,大学计算机原理与应用课程实验-Analog to Digital Converter
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:595
    • 提供者:李稼
  1. juchi

    0下载:
  2. A/D转换,锯齿函数的转换汇编实现,大学计算机原理实验课程,本人应用过。-Analog to Digital Converter
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1312
    • 提供者:李稼
  1. sin

    0下载:
  2. A/D转换,sin函数的转换,大学计算机原理课程的配套实验,本人应用过-Analog to Digital Converter
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1665
    • 提供者:李稼
  1. Virtex-Analog-to-Digital-Converter

    0下载:
  2. adc verilog 很不错的,希望对大家有所帮组-adc verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:34968
    • 提供者:liwei
  1. Amplifier-and-data-converter-guide.PDF

    0下载:
  2. Amplifiers and Data converting guides (Analog to Digital and Digital to Analog)
  3. 所属分类:Other systems

    • 发布日期:2017-05-15
    • 文件大小:4015490
    • 提供者:adijay
« 1 2 3 45 6 7 8 9 10 ... 24 »
搜珍网 www.dssz.com